sts-semi.co.kr [工學(공학) ] 논리게이트 - VHDL 설계 언어 실습 > stssemi6 | sts-semi.co.kr report

[工學(공학) ] 논리게이트 - VHDL 설계 언어 실습 > stssemi6

본문 바로가기

stssemi6


[[ 이 포스팅은 제휴마케팅이 포함된 광고로 커미션을 지급 받습니다. ]


[工學(공학) ] 논리게이트 - VHDL 설계 언어 실습

페이지 정보

작성일 22-10-25 02:33

본문




Download : [공학] 논리게이트 - VHDL 설계 언어 실습.hwp





◆ nor gate(2 input)
1. 소스

library ieee;
use ieee.std_logic_1164.all;

entity norgate is
port(a,b : in...

◆ AND GATE(2 input)
1. 소스

library ieee;
use ieee.std_logic_1164.all;

entity andgate is
port(
sw1 : in std_logic;
sw2 : in std_logic;
led : out std_logic);
end andgate;

architecture sample of andgate is
begin
led `〓 sw1 and sw2;
end sample;

2. 시뮬레이션
1) Flow Summary

2) Waveform
3) time analyzer Summary
3. 블록다이어그램
※ 2입력 and 게이트의 정상적인 동작파형을 확인하였다.
◆ nor gate(2 input)
1. 소스

library ieee;
use ieee.std_logic_1164.all;

entity norgate is
port(a,b : in std_logic;
y : out std_logic);
end norgate;

architecture sample of norgate is
begin
y `〓 a nor b;
end sample;
2. 시뮬레이션
1) Flow Summary

2) Waveform
3) time analyzer Summary
3. 블록다이어그램

◆ nand_4
1.소스

library ieee;
use ieee.std_logic_1164.all;

entity nand_4 is
port( a,b,c,d : in std_logic;
y : out std_logic);
end nand_4;

architecture sample of nand_4 is
begin
y `〓 not(a and b and c and d);
end sample;
2. 시뮬레이션
1) Flow Summary

2) Wavefo…(To be continued )



순서
[工學(공학) ] 논리게이트 - VHDL 설계 언어 실습
[工學(공학) ] 논리게이트 - VHDL 설계 언어 실습

[공학]%20논리게이트%20-%20VHDL%20설계%20언어%20실습_hwp_01.gif [공학]%20논리게이트%20-%20VHDL%20설계%20언어%20실습_hwp_02.gif [공학]%20논리게이트%20-%20VHDL%20설계%20언어%20실습_hwp_03.gif [공학]%20논리게이트%20-%20VHDL%20설계%20언어%20실습_hwp_04.gif [공학]%20논리게이트%20-%20VHDL%20설계%20언어%20실습_hwp_05.gif [공학]%20논리게이트%20-%20VHDL%20설계%20언어%20실습_hwp_06.gif




레포트/공학기술

[공학],논리게이트,-,VHDL,설계,언어,실습,공학기술,레포트
설명
[공학] 논리게이트 - VHDL 설계 언어 실습 , [공학] 논리게이트 - VHDL 설계 언어 실습공학기술레포트 , [공학] 논리게이트 - VHDL 설계 언어 실습






Download : [공학] 논리게이트 - VHDL 설계 언어 실습.hwp( 80 )




다.
◆ AND GATE(2 input)
1. 소스

library ieee;
use ieee.std_logic_1164.all;

entity andgate is
port(
sw1 : in std_logic;
sw2 : in std_logic;
led : out std_logic);
end andgate;

architecture sample of andgate is
begin
led `〓 sw1 and sw2;
end sample;

2. 시뮬레이션
1) Flow Summary

2) Waveform
3) time analyzer Summary
3. 블록다이어그램
※ 2입력 and 게이트의 정상적인 동작파형을 확인하였다.
Total 41,359건 1 페이지

검색

REPORT 73(sv75)



해당자료의 저작권은 각 업로더에게 있습니다.

sts-semi.co.kr 은 통신판매중개자이며 통신판매의 당사자가 아닙니다.
따라서 상품·거래정보 및 거래에 대하여 책임을 지지 않습니다.
[[ 이 포스팅은 제휴마케팅이 포함된 광고로 커미션을 지급 받습니다 ]]

[저작권이나 명예훼손 또는 권리를 침해했다면 이메일 admin@hong.kr 로 연락주시면 확인후 바로 처리해 드리겠습니다.]
If you have violated copyright, defamation, of rights, please contact us by email at [ admin@hong.kr ] and we will take care of it immediately after confirmation.
Copyright © sts-semi.co.kr All rights reserved.